wiki:public/doc/by_ext/tim/S_time_start
Last modified 3 years ago Last modified on 08/05/15 10:21:05

Description

The keyword $TIME_START enables to set the beginning of the simulation time.

Parameters

The parameter (double) must be written in the subsequent line after the keyword.